Usage
  • 268 views
  • 349 downloads

Variation-resilient True Random Number Generators based on Magnetic Tunnel Junctions

  • Author / Creator
    Qu, Yuanzhuo
  • In the Internet of Things (IoT) era, security has increasingly become a challenge, so encryption has been widely used to protect data. Random number generators (RNGs), as an essential part of cryptographic systems, are implemented in connected devices for information security. However, inadequate levels of encryption may put data at risk. To ensure a higher level of security for IoT applications, designs of CMOS-compatible true random number generators (TRNGs) are needed instead of conventional pseudo-random number generators. In this thesis work, the stochastic behavior of spin transfer torque magnetic tunnel junctions (STT-MTJs) is exploited as the source of randomness. However, the randomness quality of the sequences generated from a basic generator with a single MTJ is undermined by fabrication variations in MTJs and PVT (process, voltage and temperature) variations in circuits. To overcome the variation challenges, three variation-resilient TRNG designs based on STT-MTJs are proposed in this thesis work. The first design utilizes a parallel structure with multiple devices to minimize the variation effects, the second design leverages the symmetry of an MTJ pair to take advantage of two identical distributions, and the third design compensates for the probability inaccuracy caused by the variations using a two-step switching process. All three designs can generate high-quality random sequences without using complicated post-processing or real-time feedback circuits. Moreover, general flawed random sources and quality improvement circuits are discussed to provide effective solutions for improving the randomness quality of the random sequences. The National Institute of Standards and Technology (NIST) statistical test suite is used to evaluate the randomness quality of the generated sequences for the encryption keys in the Transport Layer Security or Secure Sockets Layer (TLS/SSL) cryptographic protocol. The circuit operations are validated in a 28-nm CMOS process by Monte Carlo simulation with a compact model of the MTJ. The randomness quality and hardware properties of the proposed designs are compared comprehensively with other RNGs from the literature. Each of the three TRNG designs requires fewer than 40 transistors and consumes less than 1 pJ for generating 1 random bit, with an operating frequency no lower than 50 MHz, showing the variation-resilience with efficient hardware, low energy and high speed.

  • Subjects / Keywords
  • Graduation date
    Fall 2017
  • Type of Item
    Thesis
  • Degree
    Master of Science
  • DOI
    https://doi.org/10.7939/R3W669P2X
  • License
    This thesis is made available by the University of Alberta Libraries with permission of the copyright owner solely for non-commercial purposes. This thesis, or any portion thereof, may not otherwise be copied or reproduced without the written consent of the copyright owner, except to the extent permitted by Canadian copyright law.